Novel Processes for Poly-Si Thin-Film Transistors on Plastic Substrates

Daniel Albert Good

EECS Department
University of California, Berkeley
Technical Report No. UCB/EECS-2007-62
May 17, 2007

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2007/EECS-2007-62.pdf

Flat panel display costs have been decreasing rapidly in recent years, due to swift progress in thin film transistor (TFT) processing technology. The next step to a lower cost and more versatile display is the fabrication of displays on flexible plastic substrates. In order to realize this goal, high performance devices need to be fabricated in a process compatible with the low thermal budget of these substrates. Plastic compatible polycrystalline silicon (poly-Si) TFT processes are widely known, but several crucial steps have not been adapted to the low-temperature substrates. This work seeks to address several of the limiting factors in the plastic-compatible poly-Si TFT process.

Poly-Si devices have a large number of crystalline defects, which are typically made electrically inactive through a hydrogen plasma annealing process. However, hydrogen plasma annealing requires temperatures not compatible with low-cost plastic substrates. This work demonstrates an alternative passivation technique, implanting an impurity into the amorphous silicon film immediately after deposition. During subsequent laser crystallization, the impurity species is able to diffuse to grain boundaries and passivate defects, achieving an effect similar to hydrogen passivation. Performance and reliability of this defect passivation process is compared with hydrogen plasma passivation in low and high temperature fabrication processes.

Two other novel processes were investigated. A reactively sputtered gate dielectric layer was used to replace one deposited with a more conventional high density plasma. Device performance was limited compared with high-quality SiO2 deposition processes, but was sufficient for demonstration of passivation effects in a plastic-compatible process using the previously described technique.

Finally, the use of a visible copper vapor laser as an alternative to UV excimer laser for crystallization of amorphous silicon was demonstrated. Uniformity of fabricated devices without any passivation treatment was far superior when the copper vapor laser was used for crystallization. This is due both to its better pulse energy stability and to the lower sensitivity of the process at longer wavelengths.

Advisor: Tsu-Jae King Liu


BibTeX citation:

@phdthesis{Good:EECS-2007-62,
    Author = {Good, Daniel Albert},
    Title = {Novel Processes for Poly-Si Thin-Film Transistors on Plastic Substrates},
    School = {EECS Department, University of California, Berkeley},
    Year = {2007},
    Month = {May},
    URL = {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2007/EECS-2007-62.html},
    Number = {UCB/EECS-2007-62},
    Abstract = {Flat panel display costs have been decreasing rapidly in recent years, due to swift progress in thin film transistor (TFT) processing technology.  The next step to a lower cost and more versatile display is the fabrication of displays on flexible plastic substrates.  In order to realize this goal, high performance devices need to be fabricated in a process compatible with the low thermal budget of these substrates.  Plastic compatible polycrystalline silicon (poly-Si) TFT processes are widely known, but several crucial steps have not been adapted to the low-temperature substrates.  This work seeks to address several of the limiting factors in the plastic-compatible poly-Si TFT process.

Poly-Si devices have a large number of crystalline defects, which are typically made electrically inactive through a hydrogen plasma annealing process.  However, hydrogen plasma annealing requires temperatures not compatible with low-cost plastic substrates.  This work demonstrates an alternative passivation technique, implanting an impurity into the amorphous silicon film immediately after deposition.  During subsequent laser crystallization, the impurity species is able to diffuse to grain boundaries and passivate defects, achieving an effect similar to hydrogen passivation.  Performance and reliability of this defect passivation process is compared with hydrogen plasma passivation in low and high temperature fabrication processes.

Two other novel processes were investigated.  A reactively sputtered gate dielectric layer was used to replace one deposited with a more conventional high density plasma.  Device performance was limited compared with high-quality SiO2 deposition processes, but was sufficient for demonstration of passivation effects in a plastic-compatible process using the previously described technique.  

Finally, the use of a visible copper vapor laser as an alternative to UV excimer laser for crystallization of amorphous silicon was demonstrated.  Uniformity of fabricated devices without any passivation treatment was far superior when the copper vapor laser was used for crystallization.  This is due both to its better pulse energy stability and to the lower sensitivity of the process at longer wavelengths.}
}

EndNote citation:

%0 Thesis
%A Good, Daniel Albert
%T Novel Processes for Poly-Si Thin-Film Transistors on Plastic Substrates
%I EECS Department, University of California, Berkeley
%D 2007
%8 May 17
%@ UCB/EECS-2007-62
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2007/EECS-2007-62.html
%F Good:EECS-2007-62