Bhavana Chaurasia and Yale Chen and Ian Juch and Surabhi Kumar and Jay Mistry

EECS Department, University of California, Berkeley

Technical Report No. UCB/EECS-2015-116

May 15, 2015

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-116.pdf

The current trend in the computing industry is to offer more performance by leveraging more processing cores. In light of continuous increase of microprocessor cores, there is a growing need for efficient on-chip interconnect. In this project we explored the designing of high radix routers which is the basic building block for interconnect networks. As the number of ports in the router increases its complexity increases. We analyzed the bottlenecks of the design and worked on the improvement of it. We investigated all the major sub-blocks of the router. We implemented new arbitration schemes which improved performance over conventional arbiters. We have also implemented SRAMs as buffers which helped in reducing area of the router. In high radix router, routing of signals increases exponentially which dramatically increases tool run time, hence we worked on exploring design tool configurations and hierarchical designing. Also various crossbar architectures has been implemented and studied, which are major bottleneck for routing congestion. Finally, we concluded the appropriate schemes for the implementation of all the design blocks in the router.

Advisors: Elad Alon and Vladimir Stojanovic


BibTeX citation:

@mastersthesis{Chaurasia:EECS-2015-116,
    Author= {Chaurasia, Bhavana and Chen, Yale and Juch, Ian and Kumar, Surabhi and Mistry, Jay},
    Title= {Petabit Switch Fabric Design},
    School= {EECS Department, University of California, Berkeley},
    Year= {2015},
    Month= {May},
    Url= {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-116.html},
    Number= {UCB/EECS-2015-116},
    Abstract= {The current trend in the computing industry is to offer more performance by leveraging more processing cores.  In light of continuous increase of microprocessor cores, there is a growing need for efficient on-chip interconnect. In this project we explored the designing of high radix routers which is the basic building block for interconnect networks. As the number of ports in the router increases its complexity increases. We analyzed the bottlenecks of the design and worked on the improvement of it. We investigated all the major sub-blocks of the router. We implemented new arbitration schemes which improved performance over conventional arbiters. We have also implemented SRAMs as buffers which helped in reducing area of the router. In high radix router, routing of signals increases exponentially which dramatically increases tool run time, hence we worked on exploring design tool configurations and hierarchical designing. Also various crossbar architectures has been implemented and studied, which are major bottleneck for routing congestion. Finally, we concluded the appropriate schemes for the implementation of all the design blocks in the router.},
}

EndNote citation:

%0 Thesis
%A Chaurasia, Bhavana 
%A Chen, Yale 
%A Juch, Ian 
%A Kumar, Surabhi 
%A Mistry, Jay 
%T Petabit Switch Fabric Design
%I EECS Department, University of California, Berkeley
%D 2015
%8 May 15
%@ UCB/EECS-2015-116
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-116.html
%F Chaurasia:EECS-2015-116