Ian Juch and Bhavana Chaurasia and Yale Chen and Surabhi Kumar and Jay Mistry

EECS Department, University of California, Berkeley

Technical Report No. UCB/EECS-2015-88

May 14, 2015

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-88.pdf

In today’s systems, the interconnection network which enables communication among multiple discrete processing cores frequently becomes the performance bottleneck. Our project seeks to improve upon this interconnect with the goal of better overall system performance. Specifically, our project focuses on creating a high-radix router to reduce network latency. This report describes our industry analysis, recommended business strategies, and technical work in conducting a design space exploration for high-radix routers with up to 64 ports. We set up a Synopsys-based tool flow and ran multiple designs through place-and-route to collect statistics for different configurations. We also replaced a flip-flop based register file with SRAM’s to achieve around 15% area improvement with about 10% power, while having minimal impact upon critical path.

Advisors: Elad Alon and Vladimir Stojanovic


BibTeX citation:

@mastersthesis{Juch:EECS-2015-88,
    Author= {Juch, Ian and Chaurasia, Bhavana and Chen, Yale and Kumar, Surabhi and Mistry, Jay},
    Title= {Petabit Switch-Fabric Design},
    School= {EECS Department, University of California, Berkeley},
    Year= {2015},
    Month= {May},
    Url= {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-88.html},
    Number= {UCB/EECS-2015-88},
    Abstract= {In today’s systems, the interconnection network which enables communication among multiple discrete processing cores frequently becomes the performance bottleneck. Our project seeks to improve upon this interconnect with the goal of better overall system performance. Specifically, our project focuses on creating a high-radix router to reduce network latency. This report describes our industry analysis, recommended business strategies, and technical work in conducting a design space exploration for high-radix routers with up to 64 ports. We set up a Synopsys-based tool flow and ran multiple designs through place-and-route to collect statistics for different configurations. We also replaced a flip-flop based register file with SRAM’s to achieve around 15% area improvement with about 10% power, while having minimal impact upon critical path.},
}

EndNote citation:

%0 Thesis
%A Juch, Ian 
%A Chaurasia, Bhavana 
%A Chen, Yale 
%A Kumar, Surabhi 
%A Mistry, Jay 
%T Petabit Switch-Fabric Design
%I EECS Department, University of California, Berkeley
%D 2015
%8 May 14
%@ UCB/EECS-2015-88
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-88.html
%F Juch:EECS-2015-88