Characterizing Polarized Illumination in High Numerical Aperture Optical Lithography with Phase Shifting Masks

Gregory Russell McIntyre

EECS Department
University of California, Berkeley
Technical Report No. UCB/EECS-2006-52
May 12, 2006

http://www2.eecs.berkeley.edu/Pubs/TechRpts/2006/EECS-2006-52.pdf

The primary objective of this dissertation is to develop the phase shifting mask (PSM) as a precision instrument to characterize effects in optical lithography related to the use of polarized partially coherent illumination. The intent is to provide an in-situ characterization technique to add to the lithographer¿s tool-kit to help enable the stable and repeatable mass production of integrated circuits with feature sizes approaching 1/6th the wavelength of light being used. A series of complex-valued mathematical functions have been derived from basic principles and recent advances in photomask fabrication technology have enabled their implementation with four-phase mask making. When located in the object plane of an imaging system, these test functions serve to engineer a wavefront that interacts with one particular optical effect, creating a measurable signal in the image plane. In most cases, these test patterns leverage proximity effects to create a central image intensity and are theoretically the most sensitive to the desired effect. Five novel classes of test patterns have been developed for in-situ characterization. The first two classes, The Linear Phase Grating (LPG) and Linear Phase Ring (LPR), both serve to characterize illumination angular distribution and uniformity by creating signals dependent on illumination angular frequency. The third class consists of the Radial Phase Grating (RPG) and Proximity Effect Polarization Analyzers (PEPA), which each create a polarization-dependent signal by taking advantage of the image reversal of one polarization component at high numerical aperture (NA). PSM Polarimetry employs a series of these patterns to form a complete polarization characterization of any arbitrary illumination scheme. The fourth and fifth classes employ sub-resolution interferometric reference probes to coherently interact with proximity effect spillover from a surrounding pattern. They measure the effective phase and transmission of the shifted regions of an alternating PSM and projection lens birefringence, respectively. A secondary objective of this dissertation has been to leverage some of these functions to extend the application of pattern matching software to rapidly identify areas in a circuit design layout that may be vulnerable to polarization and high-NA effects. Additionally, polarization aberrations have been investigated, as they may become important with hyper-NA imaging systems. Three multi-phase test reticles have been developed for this thesis and have pushed the limits of photomask fabrication. Coupled with a variety of experimental and simulation studies at 193nm wavelength, they have validated the scientific principles of the PSM monitors and have offered unique insight into implementation issues such as electromagnetic (EM) effects and mask making tolerances. Although all five classes are novel theoretical concepts, it is believed that PSM Polarimetry is commercially viable. Despite a 70% loss of sensitivity due to mask making limitations and a 20% loss due to EM effects, it can likely still monitor polarization to within 2%. Experimental results are comparable to the only other known technique, which requires special equipment. Taken collectively, the five novel classes of PSM monitors offer the lithographer an independent tool-kit to ensure proper tool operation. They also provide circuit designers an understanding of the impact of imaging on layouts. Although they have been developed for optical lithography, their principles are relevant to any image-forming optical system and are likely to find applications in other fields of optics or acoustics.

Advisor: Andrew R. Neureuther


BibTeX citation:

@phdthesis{McIntyre:EECS-2006-52,
    Author = {McIntyre, Gregory Russell},
    Title = {Characterizing Polarized Illumination in High Numerical Aperture Optical Lithography with Phase Shifting Masks},
    School = {EECS Department, University of California, Berkeley},
    Year = {2006},
    Month = {May},
    URL = {http://www2.eecs.berkeley.edu/Pubs/TechRpts/2006/EECS-2006-52.html},
    Number = {UCB/EECS-2006-52},
    Abstract = {  The primary objective of this dissertation is to develop the phase shifting mask (PSM) as a precision instrument to characterize effects in optical lithography related to the use of polarized partially coherent illumination.  The intent is to provide an in-situ characterization technique to add to the lithographer¿s tool-kit to help enable the stable and repeatable mass production of integrated circuits with feature sizes approaching 1/6th the wavelength of light being used.  
  A series of complex-valued mathematical functions have been derived from basic principles and recent advances in photomask fabrication technology have enabled their implementation with four-phase mask making.  When located in the object plane of an imaging system, these test functions serve to engineer a wavefront that interacts with one particular optical effect, creating a measurable signal in the image plane.  In most cases, these test patterns leverage proximity effects to create a central image intensity and are theoretically the most sensitive to the desired effect.  Five novel classes of test patterns have been developed for in-situ characterization.  The first two classes, The Linear Phase Grating (LPG) and Linear Phase Ring (LPR), both serve to characterize illumination angular distribution and uniformity by creating signals dependent on illumination angular frequency.  The third class consists of the Radial Phase Grating (RPG) and Proximity Effect Polarization Analyzers (PEPA), which each create a polarization-dependent signal by taking advantage of the image reversal of one polarization component at high numerical aperture (NA).  PSM Polarimetry employs a series of these patterns to form a complete polarization characterization of any arbitrary illumination scheme.  The fourth and fifth classes employ sub-resolution interferometric reference probes to coherently interact with proximity effect spillover from a surrounding pattern.  They measure the effective phase and transmission of the shifted regions of an alternating PSM and projection lens birefringence, respectively.  
  A secondary objective of this dissertation has been to leverage some of these functions to extend the application of pattern matching software to rapidly identify areas in a circuit design layout that may be vulnerable to polarization and high-NA effects.  Additionally, polarization aberrations have been investigated, as they may become important with hyper-NA imaging systems.  
  Three multi-phase test reticles have been developed for this thesis and have pushed the limits of photomask fabrication.  Coupled with a variety of experimental and simulation studies at 193nm wavelength, they have validated the scientific principles of the PSM monitors and have offered unique insight into implementation issues such as electromagnetic (EM) effects and mask making tolerances.  Although all five classes are novel theoretical concepts, it is believed that PSM Polarimetry is commercially viable.  Despite a 70% loss of sensitivity due to mask making limitations and a 20% loss due to EM effects, it can likely still monitor polarization to within 2%.  Experimental results are comparable to the only other known technique, which requires special equipment.
  Taken collectively, the five novel classes of PSM monitors offer the lithographer an independent tool-kit to ensure proper tool operation.  They also provide circuit designers an understanding of the impact of imaging on layouts.  Although they have been developed for optical lithography, their principles are relevant to any image-forming optical system and are likely to find applications in other fields of optics or acoustics.}
}

EndNote citation:

%0 Thesis
%A McIntyre, Gregory Russell
%T Characterizing Polarized Illumination in High Numerical Aperture Optical Lithography with Phase Shifting Masks
%I EECS Department, University of California, Berkeley
%D 2006
%8 May 12
%@ UCB/EECS-2006-52
%U http://www2.eecs.berkeley.edu/Pubs/TechRpts/2006/EECS-2006-52.html
%F McIntyre:EECS-2006-52